Difference between revisions of "DAC 2019"

From Openresearch
Jump to: navigation, search
 
Line 14: Line 14:
 
|has OC member=Vivek De
 
|has OC member=Vivek De
 
|has tutorial chair=Helen Li
 
|has tutorial chair=Helen Li
 +
|Submitted papers=1068
 +
|Accepted papers=202
 
|has Proceedings DOI=https://doi.org/10.1145/3316781
 
|has Proceedings DOI=https://doi.org/10.1145/3316781
 
|has Recording Link=https://www.youtube.com/playlist?list=PLKqCo4MpJlW8WbJOVq2PhZ2atxdoHzAyW
 
|has Recording Link=https://www.youtube.com/playlist?list=PLKqCo4MpJlW8WbJOVq2PhZ2atxdoHzAyW
 
|has Proceedings Bibliography=https://dl.acm.org/doi/proceedings/10.1145/3316781
 
|has Proceedings Bibliography=https://dl.acm.org/doi/proceedings/10.1145/3316781
 
}}
 
}}

Latest revision as of 10:42, 20 April 2020

DAC 2019
56th Design Automation Conference
Event in series DAC
Dates 2019/06/02 (iCal) - 2019/06/06
Homepage: https://www.dac.com/
Location
Location: Las Vegas, NV, USA
Loading map...

Papers: Submitted 1068 / Accepted 202 (18.9 %)
Committees
General chairs: Robert Aitken, Zhuo Li
PC chairs: Harry Foster
Panel Chair: Vivek De
Seminars Chair: Helen Li
Table of Contents