Difference between revisions of "ASP-DAC"

From Openresearch
Jump to: navigation, search
 
Line 2: Line 2:
 
|Acronym=ASP-DAC
 
|Acronym=ASP-DAC
 
|Title=Asia and South Pacific Design Automation Conference
 
|Title=Asia and South Pacific Design Automation Conference
|Field=Computer Hardware Design
+
|Field=Microelectronics
 
}}
 
}}
 
{{Fixme|todo=Check Field according to https://scholar.google.de/citations?view_op=top_venues&hl=de&vq=eng_computerhardwaredesign}}
 
{{Fixme|todo=Check Field according to https://scholar.google.de/citations?view_op=top_venues&hl=de&vq=eng_computerhardwaredesign}}

Latest revision as of 16:06, 18 June 2020

ASP-DAC
Asia and South Pacific Design Automation Conference
Categories: Microelectronics
Avg. acceptance rate: 32.8
Avg. acceptance rate (last 5 years): 32.8
Table of Contents

Asia and South Pacific Design Automation Conference (ASP-DAC) has an average acceptance rate of 32.8% (last 5 years 32.8%).

Events

The following events of the series ASP-DAC are currently known in this wiki:

 OrdinalFromToCityCountryGeneral chairPC chairAcceptance rateAttendees
ASP-DAC 2020Jan 13Jan 16BeijingChinaK.-T. Tim Cheng
Huazhong Yang
Tsung-Yi Ho32.7
ASP-DAC 2019Jan 21Jan 24TokyoJapanToshiyuki ShibuyaTaewhan Kim33.2
ASP-DAC 2018Jan 22Jan 25Jeju IslandSouth KoreaYoungsoo ShinAtsushi Takahash32.5

Number of Submitted and Accepted Papers (Main Track)

Loading...

Acceptance Rate

Loading...

Locations

Loading map...



done
todo Check Field according to https://scholar.google.de/citations?view_op=top_venues&hl=de&vq=eng_computerhardwaredesign